udp1pecl.v 717 Bytes
// VERSION:1.00 DATE:1995.05.16 UDP
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
    `suppress_faults
`endif

primitive udp1pecl ( out, A, B, C );
    output out;
    input  A,B,C;
    reg    out;

    table
    //  A    B    C :   out  : out + 1

        0    0    1 :    ?   : -;
        1    1    1 :    ?   : -;
        1    0    1 :    ?   : 1;
        0    1    1 :    ?   : 0;
        0    1    0 :    ?   : 1;
        1    0    0 :    ?   : 1;
        1    1    0 :    ?   : 1;
        0    0    0 :    ?   : 1;
        x    ?    0 :    ?   : 1;
        ?    x    0 :    ?   : 1;
        1    0    x :    ?   : 1;

    endtable
endprimitive

`ifdef verifault
    `nosuppress_faults
`endif
`endcelldefine