arb.ss
5.28 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
/*****************************************************************************/
/* custom variables */
/*****************************************************************************/
module = "arb"
wire_load = 256000
standard_load = 0.01
clock = "clock"
default_input_delay = 2.0
default_output_delay = 13.0
default_input_load = 20
default_output_load = 20
default_drive_cell = "dfntnh"
default_drive_pin = "q"
default_period = 16.0
default_max_transition = 2.0
default_uncertainty = 1.0
/*****************************************************************************/
/* set the path and read */
/*****************************************************************************/
search_path = search_path \
+ "../src" \
+ "../../inc" \
+ "../../../lib/verilog/user" \
+ "../../syn"
read -f verilog cbus_driver.v
read -f verilog dbus_driver.v
read -f verilog ebus_driver.v
read -f verilog module + ".v"
/*****************************************************************************/
/* default environment */
/*****************************************************************************/
set_operating_conditions NOM
set_wire_load wire_load -mode top
/*****************************************************************************/
/* clock constraints */
/*****************************************************************************/
create_clock clock -period default_period -waveform { 0.0 default_period / 2 }
set_clock_skew -propagated -uncertainty default_uncertainty clock
set_dont_touch_network clock
set_fix_hold all_clocks()
/*****************************************************************************/
/* default constraint */
/*****************************************************************************/
set_max_area 0
set_dont_touch { ne35hd130d/nt01d* }
set_input_delay default_input_delay -clock clock all_inputs() > /dev/null
set_output_delay default_output_delay -clock clock all_outputs() > /dev/null
set_load default_output_load * standard_load all_outputs() > /dev/null
set_load default_input_load * standard_load all_inputs() > /dev/null
set_driving_cell -cell default_drive_cell -pin default_drive_pin all_inputs() > /dev/null
set_drive 0 { clock }
set_input_delay 0 { clock }
set_max_transition default_max_transition current_design
/*****************************************************************************/
/* custom constraints */
/*****************************************************************************/
set_load 170 * standard_load { *_cbus_read_enable *_cbus_write_enable }
set_output_delay 10.0 -clock clock { sp_cbus_read_enable }
set_output_delay 10.0 -clock clock { cmd_cbus_read_enable }
set_output_delay 10.0 -clock clock { mi_cbus_read_enable }
set_output_delay 10.0 -clock clock { ri_cbus_read_enable }
set_output_delay 10.0 -clock clock { pi_cbus_read_enable }
set_output_delay 10.0 -clock clock { si_cbus_read_enable }
set_output_delay 10.0 -clock clock { ai_cbus_read_enable }
set_output_delay 10.0 -clock clock { vi_cbus_read_enable }
set_output_delay 10.0 -clock clock { span_cbus_read_enable }
set_output_delay 10.0 -clock clock { mem_cbus_write_enable }
set_output_delay 10.0 -clock clock { sp_cbus_write_enable }
set_output_delay 10.0 -clock clock { cmd_cbus_write_enable }
set_output_delay 10.0 -clock clock { mi_cbus_write_enable }
set_output_delay 10.0 -clock clock { ri_cbus_write_enable }
set_output_delay 10.0 -clock clock { pi_cbus_write_enable }
set_output_delay 10.0 -clock clock { si_cbus_write_enable }
set_output_delay 10.0 -clock clock { ai_cbus_write_enable }
set_output_delay 10.0 -clock clock { vi_cbus_write_enable }
set_output_delay 10.0 -clock clock { span_cbus_write_enable }
set_load 200 * standard_load { cbus_select }
set_load 300 * standard_load { cbus_command }
set_load 0.6 { pi_dma_grant }
set_load 0.6 { pi_read_grant }
set_load 1.1 { ri_read_grant }
set_load 1.6 { span_dma_grant }
set_load 1.0 { span_read_grant }
set_load 1.5 { vi_dma_grant }
set_load 1.7 { vi_read_grant }
set_false_path -fall -from reset_l
set_max_fanout 2 * standard_load reset_l
set_input_delay 5.0 -clock clock { sp_dma_request cmd_dma_request }
/*****************************************************************************/
/* check */
/*****************************************************************************/
link
check_design > module + ".lint"
/*****************************************************************************/
/* compile */
/*****************************************************************************/
compile -map_effort high -ungroup_all
/*****************************************************************************/
/* write */
/*****************************************************************************/
include "report.dc"
write -format edif -hierarchy -o module + ".edf" module
write -format db -hierarchy -o module + ".db" module
quit