issue.con
2.37 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
create_clock clk -period 17.0 -waveform {0.0 8.5}
set_input_delay 8.0 -clock clk {reset_l};
set_input_delay 3.0 -clock clk {halt};
set_input_delay 3.0 -clock clk {single_step};
set_input_delay 2.5 -clock clk {pc_in_wr_en};
set_input_delay 5.0 -clock clk {pc_data_in};
set_input_delay 11.3 -clock clk {halting};
set_input_delay 8.5 -clock clk {br_addr};
set_input_delay 1.5 -clock clk {rd_inst};
set_input_delay 12.0 -clock clk {set_broke};
set_input_delay 2.0 -clock clk {imem_dma_pif};
set_input_delay 7.0 -clock clk {taken};
set_input_delay 13.0 -clock clk {adv_ir};
set_input_delay 13.0 -clock clk {kill_re};
set_output_delay -max 11.0 -clock clk {su_inst}
set_output_delay -max 11.0 -clock clk {vu_inst}
remove_output_delay -clock clk {su_nop_debug};
remove_output_delay -clock clk {vu_nop_debug};
set_output_delay -max 7.0 -clock clk {link_pc_delay_pc};
set_output_delay -max 14.0 -clock clk {pc};
set_output_delay -max 7.5 -clock clk {kill_su_issue};
set_output_delay -max 7.5 -clock clk {kill_vu_issue};
set_output_delay -max 10.0 -clock clk {store_xpose_rd}
set_driving_cell -cell ni01d5 -pin z {rd_inst};
set_max_transition 2.0 {pc}
set_load 0.2 su_inst[31]
set_load 0.2 su_inst[30]
set_load 0.2 su_inst[29]
set_load 0.2 su_inst[28]
set_load 0.2 su_inst[27]
set_load 0.2 su_inst[26]
set_load 0.2 su_inst[25]
set_load 0.2 su_inst[24]
set_load 0.2 su_inst[23]
set_load 0.2 su_inst[22]
set_load 0.2 su_inst[21]
set_load 0.2 su_inst[20]
set_load 0.2 su_inst[19]
set_load 0.2 su_inst[18]
set_load 0.2 su_inst[17]
set_load 0.2 su_inst[16]
set_load 0.5 su_inst[15]
set_load 0.5 su_inst[14]
set_load 0.5 su_inst[13]
set_load 0.5 su_inst[12]
set_load 0.5 su_inst[11]
set_load 0.5 su_inst[10]
set_load 0.5 su_inst[9]
set_load 0.5 su_inst[8]
set_load 0.5 su_inst[7]
set_load 0.5 su_inst[6]
set_load 0.35 su_inst[5]
set_load 0.35 su_inst[4]
set_load 0.35 su_inst[3]
set_load 0.35 su_inst[2]
set_load 0.35 su_inst[1]
set_load 0.35 su_inst[0]
set_load .10 {vu_inst}
set_load .30 {link_pc_delay_pc}
set_load 1.9 {pc}
set_load .20 {kill_su_issue}
set_load .20 {kill_vu_issue}
set_load 1.7 {store_xpose_rd}
group_path -name non_diff_group -to all_outputs();
group_path -default -to {kill_su_issue, kill_vu_issue, su_inst, vu_inst, pc_mux/pc_ff/*/*}
group_path -name inst_group -to {su_inst, vu_inst}
group_path -name kill_issue_group -to {kill_su_issue, kill_vu_issue}
group_path -name pcff_group -to {pc_mux/pc_ff/*/*}