tm.tmg 17.2 KB
/**********************************
 * tm.tmg (real-constraints file)
 *********************************/

module_name = tm
clock = "gclk"

default_input_delay     =  1.5
default_output_delay    = 13.0
default_period          = 16.0
default_uncertainty = 1.0

wire_load = 256000
standard_load = 0.01
default_input_load = 20
default_output_load = 20
default_drive_cell = "dfntnh"
default_drive_pin = "q"

/*****************************************************************************/
/* default environment                                                       */
/*****************************************************************************/
set_operating_conditions NOM
set_wire_load wire_load -mode top

/**********************************
 * Clock Definition
 *********************************/
create_clock gclk -period default_period -waveform {0 default_period / 2}
set_clock_skew -propagated -uncertainty default_uncertainty clock
set_dont_touch_network clock


/**********************************
 * Default loads & Delays
 *********************************/
set_input_delay default_input_delay -clock gclk all_inputs() > /dev/null
set_load default_output_load * standard_load all_outputs() > /dev/null
set_load default_input_load * standard_load all_inputs() > /dev/null
set_driving_cell -cell default_drive_cell -pin default_drive_pin all_inputs() > /dev/null

/* clock drive */
set_drive 0 { clock }
set_input_delay 0 { clock }


/**********************************
 * Input Delays
 *********************************/
set_max_fanout 2 * standard_load reset_l

set_input_delay 3.0 -clock gclk {bist_go}
set_input_delay 3.0 -clock gclk {bist_check}

/* attribute data */
set_input_delay 13.0 -clock gclk {tlut_en}
set_input_delay  1.0 -clock gclk {tlut_type}

/* from texture coordinate unit */
set_input_delay 4.0 -clock gclk {adrs_bnk0l[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk1l[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk2l[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk3l[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk0h[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk1h[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk2h[*]}
set_input_delay 4.0 -clock gclk {adrs_bnk3h[*]}

set_input_delay 13.0 -clock gclk {adrs_a[*]}
set_input_delay 13.0 -clock gclk {adrs_b_ba[*]}
set_input_delay 13.0 -clock gclk {adrs_c[*]}
set_input_delay 13.0 -clock gclk {adrs_d_ba[*]}
set_input_delay 13.0 -clock gclk {adrs_b_rg[*]}
set_input_delay 13.0 -clock gclk {adrs_d_rg[*]}

set_input_delay 13.0 -clock gclk {swap_rg}
set_input_delay 13.0 -clock gclk {swap_ba}

set_input_delay  7.0 -clock gclk {tile_tex_type[*]}
set_input_delay  8.0 -clock gclk {tile_tex_size[*]}
set_input_delay 13.0 -clock gclk {palette[*]}

set_input_delay 11.0 -clock gclk {odd_t}
set_input_delay 11.0 -clock gclk {a_three}
set_input_delay 12.0 -clock gclk {a_twelve}

/* from memspan unit */
set_input_delay 5.0 -clock gclk {load_dv}

/**********************************
 * Output Delays
 *********************************/

set_output_delay default_output_delay -clock gclk all_outputs()

/* to texture coordinate unit */
set_output_delay 3.0 -clock gclk {clr_ind_a[*]}
set_output_delay 3.0 -clock gclk {clr_ind_b[*]}
set_output_delay 3.0 -clock gclk {clr_ind_c[*]}
set_output_delay 3.0 -clock gclk {clr_ind_d[*]}

/**********************************
 * Bidirectional Delays
 *********************************/

/* to / from memspan unit */
set_input_delay  13.0 -clock gclk {copy_load[*]}
set_output_delay 5.0 -clock gclk {copy_load[*]}


/**********************************
 * disable timing
 *********************************/
set_disable_timing hi_half/bnk0 -from pcg -to dout[0]
set_disable_timing hi_half/bnk0 -from pcg -to dout[1]
set_disable_timing hi_half/bnk0 -from pcg -to dout[2]
set_disable_timing hi_half/bnk0 -from pcg -to dout[3]
set_disable_timing hi_half/bnk0 -from pcg -to dout[4]
set_disable_timing hi_half/bnk0 -from pcg -to dout[5]
set_disable_timing hi_half/bnk0 -from pcg -to dout[6]
set_disable_timing hi_half/bnk0 -from pcg -to dout[7]
set_disable_timing hi_half/bnk0 -from pcg -to dout[8]
set_disable_timing hi_half/bnk0 -from pcg -to dout[9]
set_disable_timing hi_half/bnk0 -from pcg -to dout[10]
set_disable_timing hi_half/bnk0 -from pcg -to dout[11]
set_disable_timing hi_half/bnk0 -from pcg -to dout[12]
set_disable_timing hi_half/bnk0 -from pcg -to dout[13]
set_disable_timing hi_half/bnk0 -from pcg -to dout[14]
set_disable_timing hi_half/bnk0 -from pcg -to dout[15]
set_disable_timing low_half/bnk0 -from pcg -to dout[0]
set_disable_timing low_half/bnk0 -from pcg -to dout[1]
set_disable_timing low_half/bnk0 -from pcg -to dout[2]
set_disable_timing low_half/bnk0 -from pcg -to dout[3]
set_disable_timing low_half/bnk0 -from pcg -to dout[4]
set_disable_timing low_half/bnk0 -from pcg -to dout[5]
set_disable_timing low_half/bnk0 -from pcg -to dout[6]
set_disable_timing low_half/bnk0 -from pcg -to dout[7]
set_disable_timing low_half/bnk0 -from pcg -to dout[8]
set_disable_timing low_half/bnk0 -from pcg -to dout[9]
set_disable_timing low_half/bnk0 -from pcg -to dout[10]
set_disable_timing low_half/bnk0 -from pcg -to dout[11]
set_disable_timing low_half/bnk0 -from pcg -to dout[12]
set_disable_timing low_half/bnk0 -from pcg -to dout[13]
set_disable_timing low_half/bnk0 -from pcg -to dout[14]
set_disable_timing low_half/bnk0 -from pcg -to dout[15]
set_disable_timing hi_half/bnk1 -from pcg -to dout[0]
set_disable_timing hi_half/bnk1 -from pcg -to dout[1]
set_disable_timing hi_half/bnk1 -from pcg -to dout[2]
set_disable_timing hi_half/bnk1 -from pcg -to dout[3]
set_disable_timing hi_half/bnk1 -from pcg -to dout[4]
set_disable_timing hi_half/bnk1 -from pcg -to dout[5]
set_disable_timing hi_half/bnk1 -from pcg -to dout[6]
set_disable_timing hi_half/bnk1 -from pcg -to dout[7]
set_disable_timing hi_half/bnk1 -from pcg -to dout[8]
set_disable_timing hi_half/bnk1 -from pcg -to dout[9]
set_disable_timing hi_half/bnk1 -from pcg -to dout[10]
set_disable_timing hi_half/bnk1 -from pcg -to dout[11]
set_disable_timing hi_half/bnk1 -from pcg -to dout[12]
set_disable_timing hi_half/bnk1 -from pcg -to dout[13]
set_disable_timing hi_half/bnk1 -from pcg -to dout[14]
set_disable_timing hi_half/bnk1 -from pcg -to dout[15]
set_disable_timing low_half/bnk1 -from pcg -to dout[0]
set_disable_timing low_half/bnk1 -from pcg -to dout[1]
set_disable_timing low_half/bnk1 -from pcg -to dout[2]
set_disable_timing low_half/bnk1 -from pcg -to dout[3]
set_disable_timing low_half/bnk1 -from pcg -to dout[4]
set_disable_timing low_half/bnk1 -from pcg -to dout[5]
set_disable_timing low_half/bnk1 -from pcg -to dout[6]
set_disable_timing low_half/bnk1 -from pcg -to dout[7]
set_disable_timing low_half/bnk1 -from pcg -to dout[8]
set_disable_timing low_half/bnk1 -from pcg -to dout[9]
set_disable_timing low_half/bnk1 -from pcg -to dout[10]
set_disable_timing low_half/bnk1 -from pcg -to dout[11]
set_disable_timing low_half/bnk1 -from pcg -to dout[12]
set_disable_timing low_half/bnk1 -from pcg -to dout[13]
set_disable_timing low_half/bnk1 -from pcg -to dout[14]
set_disable_timing low_half/bnk1 -from pcg -to dout[15]
set_disable_timing hi_half/bnk2 -from pcg -to dout[0]
set_disable_timing hi_half/bnk2 -from pcg -to dout[1]
set_disable_timing hi_half/bnk2 -from pcg -to dout[2]
set_disable_timing hi_half/bnk2 -from pcg -to dout[3]
set_disable_timing hi_half/bnk2 -from pcg -to dout[4]
set_disable_timing hi_half/bnk2 -from pcg -to dout[5]
set_disable_timing hi_half/bnk2 -from pcg -to dout[6]
set_disable_timing hi_half/bnk2 -from pcg -to dout[7]
set_disable_timing hi_half/bnk2 -from pcg -to dout[8]
set_disable_timing hi_half/bnk2 -from pcg -to dout[9]
set_disable_timing hi_half/bnk2 -from pcg -to dout[10]
set_disable_timing hi_half/bnk2 -from pcg -to dout[11]
set_disable_timing hi_half/bnk2 -from pcg -to dout[12]
set_disable_timing hi_half/bnk2 -from pcg -to dout[13]
set_disable_timing hi_half/bnk2 -from pcg -to dout[14]
set_disable_timing hi_half/bnk2 -from pcg -to dout[15]
set_disable_timing low_half/bnk2 -from pcg -to dout[0]
set_disable_timing low_half/bnk2 -from pcg -to dout[1]
set_disable_timing low_half/bnk2 -from pcg -to dout[2]
set_disable_timing low_half/bnk2 -from pcg -to dout[3]
set_disable_timing low_half/bnk2 -from pcg -to dout[4]
set_disable_timing low_half/bnk2 -from pcg -to dout[5]
set_disable_timing low_half/bnk2 -from pcg -to dout[6]
set_disable_timing low_half/bnk2 -from pcg -to dout[7]
set_disable_timing low_half/bnk2 -from pcg -to dout[8]
set_disable_timing low_half/bnk2 -from pcg -to dout[9]
set_disable_timing low_half/bnk2 -from pcg -to dout[10]
set_disable_timing low_half/bnk2 -from pcg -to dout[11]
set_disable_timing low_half/bnk2 -from pcg -to dout[12]
set_disable_timing low_half/bnk2 -from pcg -to dout[13]
set_disable_timing low_half/bnk2 -from pcg -to dout[14]
set_disable_timing low_half/bnk2 -from pcg -to dout[15]
set_disable_timing hi_half/bnk3 -from pcg -to dout[0]
set_disable_timing hi_half/bnk3 -from pcg -to dout[1]
set_disable_timing hi_half/bnk3 -from pcg -to dout[2]
set_disable_timing hi_half/bnk3 -from pcg -to dout[3]
set_disable_timing hi_half/bnk3 -from pcg -to dout[4]
set_disable_timing hi_half/bnk3 -from pcg -to dout[5]
set_disable_timing hi_half/bnk3 -from pcg -to dout[6]
set_disable_timing hi_half/bnk3 -from pcg -to dout[7]
set_disable_timing hi_half/bnk3 -from pcg -to dout[8]
set_disable_timing hi_half/bnk3 -from pcg -to dout[9]
set_disable_timing hi_half/bnk3 -from pcg -to dout[10]
set_disable_timing hi_half/bnk3 -from pcg -to dout[11]
set_disable_timing hi_half/bnk3 -from pcg -to dout[12]
set_disable_timing hi_half/bnk3 -from pcg -to dout[13]
set_disable_timing hi_half/bnk3 -from pcg -to dout[14]
set_disable_timing hi_half/bnk3 -from pcg -to dout[15]
set_disable_timing low_half/bnk3 -from pcg -to dout[0]
set_disable_timing low_half/bnk3 -from pcg -to dout[1]
set_disable_timing low_half/bnk3 -from pcg -to dout[2]
set_disable_timing low_half/bnk3 -from pcg -to dout[3]
set_disable_timing low_half/bnk3 -from pcg -to dout[4]
set_disable_timing low_half/bnk3 -from pcg -to dout[5]
set_disable_timing low_half/bnk3 -from pcg -to dout[6]
set_disable_timing low_half/bnk3 -from pcg -to dout[7]
set_disable_timing low_half/bnk3 -from pcg -to dout[8]
set_disable_timing low_half/bnk3 -from pcg -to dout[9]
set_disable_timing low_half/bnk3 -from pcg -to dout[10]
set_disable_timing low_half/bnk3 -from pcg -to dout[11]
set_disable_timing low_half/bnk3 -from pcg -to dout[12]
set_disable_timing low_half/bnk3 -from pcg -to dout[13]
set_disable_timing low_half/bnk3 -from pcg -to dout[14]
set_disable_timing low_half/bnk3 -from pcg -to dout[15]


set_disable_timing hi_half/bnk0 -from pcg -to dout[0]
set_disable_timing hi_half/bnk0 -from pcg -to dout[1]
set_disable_timing hi_half/bnk0 -from pcg -to dout[2]
set_disable_timing hi_half/bnk0 -from pcg -to dout[3]
set_disable_timing hi_half/bnk0 -from pcg -to dout[4]
set_disable_timing hi_half/bnk0 -from pcg -to dout[5]
set_disable_timing hi_half/bnk0 -from pcg -to dout[6]
set_disable_timing hi_half/bnk0 -from pcg -to dout[7]
set_disable_timing hi_half/bnk0 -from pcg -to dout[8]
set_disable_timing hi_half/bnk0 -from pcg -to dout[9]
set_disable_timing hi_half/bnk0 -from pcg -to dout[10]
set_disable_timing hi_half/bnk0 -from pcg -to dout[11]
set_disable_timing hi_half/bnk0 -from pcg -to dout[12]
set_disable_timing hi_half/bnk0 -from pcg -to dout[13]
set_disable_timing hi_half/bnk0 -from pcg -to dout[14]
set_disable_timing hi_half/bnk0 -from pcg -to dout[15]
set_disable_timing low_half/bnk0 -from pcg -to dout[0]
set_disable_timing low_half/bnk0 -from pcg -to dout[1]
set_disable_timing low_half/bnk0 -from pcg -to dout[2]
set_disable_timing low_half/bnk0 -from pcg -to dout[3]
set_disable_timing low_half/bnk0 -from pcg -to dout[4]
set_disable_timing low_half/bnk0 -from pcg -to dout[5]
set_disable_timing low_half/bnk0 -from pcg -to dout[6]
set_disable_timing low_half/bnk0 -from pcg -to dout[7]
set_disable_timing low_half/bnk0 -from pcg -to dout[8]
set_disable_timing low_half/bnk0 -from pcg -to dout[9]
set_disable_timing low_half/bnk0 -from pcg -to dout[10]
set_disable_timing low_half/bnk0 -from pcg -to dout[11]
set_disable_timing low_half/bnk0 -from pcg -to dout[12]
set_disable_timing low_half/bnk0 -from pcg -to dout[13]
set_disable_timing low_half/bnk0 -from pcg -to dout[14]
set_disable_timing low_half/bnk0 -from pcg -to dout[15]
set_disable_timing hi_half/bnk1 -from pcg -to dout[0]
set_disable_timing hi_half/bnk1 -from pcg -to dout[1]
set_disable_timing hi_half/bnk1 -from pcg -to dout[2]
set_disable_timing hi_half/bnk1 -from pcg -to dout[3]
set_disable_timing hi_half/bnk1 -from pcg -to dout[4]
set_disable_timing hi_half/bnk1 -from pcg -to dout[5]
set_disable_timing hi_half/bnk1 -from pcg -to dout[6]
set_disable_timing hi_half/bnk1 -from pcg -to dout[7]
set_disable_timing hi_half/bnk1 -from pcg -to dout[8]
set_disable_timing hi_half/bnk1 -from pcg -to dout[9]
set_disable_timing hi_half/bnk1 -from pcg -to dout[10]
set_disable_timing hi_half/bnk1 -from pcg -to dout[11]
set_disable_timing hi_half/bnk1 -from pcg -to dout[12]
set_disable_timing hi_half/bnk1 -from pcg -to dout[13]
set_disable_timing hi_half/bnk1 -from pcg -to dout[14]
set_disable_timing hi_half/bnk1 -from pcg -to dout[15]
set_disable_timing low_half/bnk1 -from pcg -to dout[0]
set_disable_timing low_half/bnk1 -from pcg -to dout[1]
set_disable_timing low_half/bnk1 -from pcg -to dout[2]
set_disable_timing low_half/bnk1 -from pcg -to dout[3]
set_disable_timing low_half/bnk1 -from pcg -to dout[4]
set_disable_timing low_half/bnk1 -from pcg -to dout[5]
set_disable_timing low_half/bnk1 -from pcg -to dout[6]
set_disable_timing low_half/bnk1 -from pcg -to dout[7]
set_disable_timing low_half/bnk1 -from pcg -to dout[8]
set_disable_timing low_half/bnk1 -from pcg -to dout[9]
set_disable_timing low_half/bnk1 -from pcg -to dout[10]
set_disable_timing low_half/bnk1 -from pcg -to dout[11]
set_disable_timing low_half/bnk1 -from pcg -to dout[12]
set_disable_timing low_half/bnk1 -from pcg -to dout[13]
set_disable_timing low_half/bnk1 -from pcg -to dout[14]
set_disable_timing low_half/bnk1 -from pcg -to dout[15]
set_disable_timing hi_half/bnk2 -from pcg -to dout[0]
set_disable_timing hi_half/bnk2 -from pcg -to dout[1]
set_disable_timing hi_half/bnk2 -from pcg -to dout[2]
set_disable_timing hi_half/bnk2 -from pcg -to dout[3]
set_disable_timing hi_half/bnk2 -from pcg -to dout[4]
set_disable_timing hi_half/bnk2 -from pcg -to dout[5]
set_disable_timing hi_half/bnk2 -from pcg -to dout[6]
set_disable_timing hi_half/bnk2 -from pcg -to dout[7]
set_disable_timing hi_half/bnk2 -from pcg -to dout[8]
set_disable_timing hi_half/bnk2 -from pcg -to dout[9]
set_disable_timing hi_half/bnk2 -from pcg -to dout[10]
set_disable_timing hi_half/bnk2 -from pcg -to dout[11]
set_disable_timing hi_half/bnk2 -from pcg -to dout[12]
set_disable_timing hi_half/bnk2 -from pcg -to dout[13]
set_disable_timing hi_half/bnk2 -from pcg -to dout[14]
set_disable_timing hi_half/bnk2 -from pcg -to dout[15]
set_disable_timing low_half/bnk2 -from pcg -to dout[0]
set_disable_timing low_half/bnk2 -from pcg -to dout[1]
set_disable_timing low_half/bnk2 -from pcg -to dout[2]
set_disable_timing low_half/bnk2 -from pcg -to dout[3]
set_disable_timing low_half/bnk2 -from pcg -to dout[4]
set_disable_timing low_half/bnk2 -from pcg -to dout[5]
set_disable_timing low_half/bnk2 -from pcg -to dout[6]
set_disable_timing low_half/bnk2 -from pcg -to dout[7]
set_disable_timing low_half/bnk2 -from pcg -to dout[8]
set_disable_timing low_half/bnk2 -from pcg -to dout[9]
set_disable_timing low_half/bnk2 -from pcg -to dout[10]
set_disable_timing low_half/bnk2 -from pcg -to dout[11]
set_disable_timing low_half/bnk2 -from pcg -to dout[12]
set_disable_timing low_half/bnk2 -from pcg -to dout[13]
set_disable_timing low_half/bnk2 -from pcg -to dout[14]
set_disable_timing low_half/bnk2 -from pcg -to dout[15]
set_disable_timing hi_half/bnk3 -from pcg -to dout[0]
set_disable_timing hi_half/bnk3 -from pcg -to dout[1]
set_disable_timing hi_half/bnk3 -from pcg -to dout[2]
set_disable_timing hi_half/bnk3 -from pcg -to dout[3]
set_disable_timing hi_half/bnk3 -from pcg -to dout[4]
set_disable_timing hi_half/bnk3 -from pcg -to dout[5]
set_disable_timing hi_half/bnk3 -from pcg -to dout[6]
set_disable_timing hi_half/bnk3 -from pcg -to dout[7]
set_disable_timing hi_half/bnk3 -from pcg -to dout[8]
set_disable_timing hi_half/bnk3 -from pcg -to dout[9]
set_disable_timing hi_half/bnk3 -from pcg -to dout[10]
set_disable_timing hi_half/bnk3 -from pcg -to dout[11]
set_disable_timing hi_half/bnk3 -from pcg -to dout[12]
set_disable_timing hi_half/bnk3 -from pcg -to dout[13]
set_disable_timing hi_half/bnk3 -from pcg -to dout[14]
set_disable_timing hi_half/bnk3 -from pcg -to dout[15]
set_disable_timing low_half/bnk3 -from pcg -to dout[0]
set_disable_timing low_half/bnk3 -from pcg -to dout[1]
set_disable_timing low_half/bnk3 -from pcg -to dout[2]
set_disable_timing low_half/bnk3 -from pcg -to dout[3]
set_disable_timing low_half/bnk3 -from pcg -to dout[4]
set_disable_timing low_half/bnk3 -from pcg -to dout[5]
set_disable_timing low_half/bnk3 -from pcg -to dout[6]
set_disable_timing low_half/bnk3 -from pcg -to dout[7]
set_disable_timing low_half/bnk3 -from pcg -to dout[8]
set_disable_timing low_half/bnk3 -from pcg -to dout[9]
set_disable_timing low_half/bnk3 -from pcg -to dout[10]
set_disable_timing low_half/bnk3 -from pcg -to dout[11]
set_disable_timing low_half/bnk3 -from pcg -to dout[12]
set_disable_timing low_half/bnk3 -from pcg -to dout[13]
set_disable_timing low_half/bnk3 -from pcg -to dout[14]
set_disable_timing low_half/bnk3 -from pcg -to dout[15]