udp_RSQ.v
6.42 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
// VERSION:3.00 DATE:1999.09.24 UDP
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
`suppress_faults
`endif
primitive udp_RSQ ( Q, S, R, G, RB, SB, notifier );
output Q;
input S,R,G,RB,SB,notifier;
reg Q;
table
// S R G RB SB notifier: Qt : Qt+1
(?0) ? 1 0 1 ? : ? : 0;
(?0) 1 1 ? 1 ? : ? : 0;
(?0) ? x 0 1 ? : ? : 0;
* ? ? ? 0 ? : ? : 1;
(?1) ? 1 ? ? ? : ? : 1;
* ? 0 0 1 ? : ? : -;
* ? 0 1 1 ? : ? : -;
(?0) 0 ? 1 1 ? : ? : -;
* ? 0 x 1 ? : 0 : -;
(?0) 0 ? x 1 ? : 0 : -;
(?0) x 1 x 1 ? : 0 : -;
(?0) ? x x 1 ? : 0 : -;
(?0) 1 x 1 1 ? : 0 : -;
* ? 0 1 x ? : 1 : -;
* 0 ? 1 x ? : 1 : -;
(?1) 0 x 1 1 ? : 1 : -;
(?x) 0 1 1 1 ? : 1 : -;
(?x) 0 x 1 1 ? : 1 : -;
? * 0 0 1 ? : ? : 0;
0 * ? 0 1 ? : ? : 0;
0 (?1) 1 ? 1 ? : ? : 0;
1 * 1 ? ? ? : ? : 1;
? * ? ? 0 ? : ? : 1;
? * 0 1 1 ? : ? : -;
0 (?0) 1 1 1 ? : ? : -;
0 * x 1 1 ? : 0 : -;
0 (?x) 1 1 1 ? : 0 : -;
? * 0 x 1 ? : 0 : -;
0 * x x 1 ? : 0 : -;
0 (?0) 1 x 1 ? : 0 : -;
0 (?x) 1 x 1 ? : 0 : -;
x (?0) 1 1 1 ? : 1 : -;
x (?0) x 1 1 ? : 1 : -;
? * 0 1 x ? : 1 : -;
0 (?0) x 1 x ? : 1 : -;
0 (?0) 1 1 x ? : 1 : -;
x (?0) 1 1 x ? : 1 : -;
x (?0) x 1 x ? : 1 : -;
0 ? * 0 1 ? : ? : 0;
? ? (?0) 0 1 ? : ? : 0;
0 1 (?1) ? 1 ? : ? : 0;
? ? * ? 0 ? : ? : 1;
1 ? (?1) ? ? ? : ? : 1;
0 0 * 1 1 ? : ? : -;
0 ? (?0) 1 1 ? : ? : -;
1 0 (?0) 1 1 ? : ? : -;
x ? (?0) 1 1 ? : ? : -;
0 1 (?x) 1 1 ? : 0 : -;
0 x (?1) 1 1 ? : 0 : -;
0 x (?x) 1 1 ? : 0 : -;
0 0 * x 1 ? : 0 : -;
0 x * x 1 ? : 0 : -;
0 1 (?0) x 1 ? : 0 : -;
0 1 (?x) x 1 ? : 0 : -;
1 0 (?0) x 1 ? : 0 : -;
1 x (?0) x 1 ? : 0 : -;
x 0 (?0) x 1 ? : 0 : -;
1 0 (?x) 1 1 ? : 1 : -;
x 0 (?1) 1 1 ? : 1 : -;
x 0 (?x) 1 1 ? : 1 : -;
0 0 * 1 x ? : 1 : -;
x 0 * 1 x ? : 1 : -;
0 ? (?0) 1 x ? : 1 : -;
1 0 (?0) 1 x ? : 1 : -;
1 0 (?x) 1 x ? : 1 : -;
? ? 0 0 (?1) ? : ? : 0;
0 ? ? 0 (?1) ? : ? : 0;
0 1 1 1 (?1) ? : ? : 0;
0 1 1 x (?1) ? : ? : 0;
? ? ? ? (?0) ? : ? : 1;
1 ? 1 ? (?1) ? : ? : 1;
1 ? 1 ? (?x) ? : ? : 1;
? ? 0 1 (?1) ? : ? : -;
0 0 ? 1 (?1) ? : ? : -;
? ? 0 x (?1) ? : 0 : -;
0 ? x x (?1) ? : 0 : -;
0 0 1 x (?1) ? : 0 : -;
0 x 1 x (?1) ? : 0 : -;
0 1 x 1 (?1) ? : 0 : -;
0 x x 1 (?1) ? : 0 : -;
0 x 1 1 (?1) ? : 0 : -;
? ? 0 1 (?x) ? : 1 : -;
0 0 1 1 (?x) ? : 1 : -;
x 0 1 1 (?x) ? : 1 : -;
? 0 x 1 (?x) ? : 1 : -;
x 0 1 1 (?1) ? : 1 : -;
1 0 x 1 (?1) ? : 1 : -;
x 0 x 1 (?1) ? : 1 : -;
? ? 0 (?0) 1 ? : ? : 0;
0 ? ? (?0) 1 ? : ? : 0;
0 1 1 (?x) 1 ? : ? : 0;
0 1 1 (?1) 1 ? : ? : 0;
? ? ? * 0 ? : ? : 1;
1 ? 1 * ? ? : ? : 1;
? ? 0 (?1) 1 ? : ? : -;
0 0 ? (?1) 1 ? : ? : -;
0 x 1 (?1) 1 ? : 0 : -;
0 x x (?1) 1 ? : 0 : -;
0 1 x (?1) 1 ? : 0 : -;
0 0 ? (?x) 1 ? : 0 : -;
0 1 x (?x) 1 ? : 0 : -;
0 x ? (?x) 1 ? : 0 : -;
? ? 0 (?x) 1 ? : 0 : -;
x 0 1 (?1) 1 ? : 1 : -;
1 0 x (?1) 1 ? : 1 : -;
x 0 x (?1) 1 ? : 1 : -;
? ? 0 (?1) x ? : 1 : -;
? 0 ? (?1) x ? : 1 : -;
? ? ? ? ? * : ? : x;
endtable
endprimitive
`ifdef verifault
`nosuppress_faults
`endif
`endcelldefine