data_unit.ress 2.11 KB

/* setup aliases */

alias set_default_operating_conditions \
	"set_operating_conditions NOM -library rcp.db; \
	set_wire_load 256000 -mode top;"
alias set_default_timing_constraints \
	"create_clock clk -period 14.0 -waveform {0 7.0}; \
	set_input_delay 4.0 -clock clk all_inputs(); \
	set_output_delay 2.0 -clock clk all_outputs(); \
	set_clock_skew -uncertainty 1 clk; \
	fix_hold clk; \
	dont_touch_network clk; \
	set_drive 0 {clk}; \
	set_load 2 all_outputs();"


module = data_unit

search_path = search_path + "../src" + "../../inc" + \
   "../../../lib/verilog/user" + "../../syn"

/* read the compiled rsp module netlists */

/* read -f edif /hosts/jax/a/Reality/rcp/layout/data_unit/data_unit.edf */

read -f edif data_unit.edf

current_design = data_unit

/* ungroup -all -flatten */

set_default_operating_conditions
set_default_timing_constraints
set_max_transition 0.75 current_design;

set_max_fanout 10 current_design;

/* set_disable_timing ls_data */
/* set_disable_timing cp0_data */

set_disable_timing *ls_data_driver*
set_disable_timing dmemx2/dmemLow
set_disable_timing dmemx2/dmemHigh

/* set_dont_touch *ls_data_driver* */
/* set_dont_touch *ls_data_driver/* */

link

check_design > data_unit.lint

/* enforce naming restrictions for Compass tools */
/* change_names -rules compass_rules -hierarchy */

current_design = data_unit

/* set realistic constraints for data_unit block */
include data_unit.con

/* set back-annotated capacitances on all nodes prior to resynopsys */
include data_unit.load

/* standard reports & netlist */

/* include "report.dc" */

report_net > data_unit.net

compile_disable_area_opt_during_inplace_opt = true

compile_inplace_changed_list_file_name = inplace_changes

dont_touch ls/lsdp/ls_data_driver/*
dont_touch ls/lsdp/cp0_driver_ls/*

set_load 2 all_outputs()

report_timing -path full -delay max -max_paths 10;

compile -in_place

report -reference

report_constraint -all_violators

report_timing -path full -delay max -max_paths 10;


/* standard reports & netlist */

include "report.dc"

write -f edif -o data_unit.edf -hier data_unit
/* write -f db -o data_unit.db -hier data_unit */

quit