TDBIAUSBNNFPOC_PECL.v
1.18 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
// VERSION:1.00 DATE:2002/08/01 OPENCAD Verilog LIBRARY
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
`suppress_faults
`enable_portfaults
`endif
module TDBIAUSBNNFPOC_PECL ( OUT, A, B );
input A ;
input B ;
output OUT ;
reg _OUT ;
reg flag_PECL ;
event Wait ;
parameter PECL_DLY = 1;
buf ( _A, A );
buf ( _B, B );
buf ( OUT, _OUT );
always @ ( _A or _B ) fork
`ifdef INCA
#1 flag_PECL = 0;
`else
`ifdef VCS
#1 flag_PECL = 0;
`else
flag_PECL = 0;
`endif
`endif
casez ( {_A,_B} )
2'b01 ,
2'b10 :
begin
disable diff_wait;
#1 _OUT = _A;
end
default :
-> Wait;
endcase
join
always @ ( Wait ) begin : diff_wait
#PECL_DLY
if (_A !== ~_B )
begin
flag_PECL = 1;
_OUT = 1'bx;
#1 flag_PECL = 0;
if ( _A === ~_B ) _OUT = _A;
end
else _OUT = _A;
end
endmodule
`ifdef verifault
`nosuppress_faults
`disable_portfaults
`endif
`endcelldefine