TDOTAC33HN03.v 736 Bytes
// VERSION:4.01 DATE:2001/10/09 OPENCAD Verilog LIBRARY
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
    `suppress_faults
    `enable_portfaults
`endif
module TDOTAC33HN03 ( N01, H01, H02 );
    input  H01;
    input  H02;
    output  N01;

    buf ( _H01, H01 );
    buf ( _H02, H02 );
    bufif1 ( _G001, _H01, _H02 );
    bufif1 ( _G002, _G001, _H02 );
    not (NET1, _G002);
    not (pull1, pull0) #1 (_G002, NET1);
    nmos ( N01, _G002, 1'b1 );

    specify
        specparam DMY_SPC=1;

        ( H01 *> N01 ) = ( DMY_SPC, DMY_SPC );
        ( H02 *> N01 ) = ( 0:0:0, 0:0:0, DMY_SPC, DMY_SPC, DMY_SPC, DMY_SPC );
    endspecify
endmodule
`ifdef verifault
    `nosuppress_faults
    `disable_portfaults
`endif
`endcelldefine