TBAN12BX1.v
921 Bytes
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
// VERSION:4.00 DATE:00/02/15 OPENCAD Verilog LIBRARY
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
`suppress_faults
`enable_portfaults
`endif
// generated by sldtoveri Version 1.3.4
// Mon Jun 19 20:12:15 1995
module TBAN12BX1 ( N01 , H01 , H02 , H03 ) ;
input H01 ;
input H02 ;
input H03 ;
output N01 ;
buf ( _H01 , H01 ) ;
buf ( _H02 , H02 ) ;
buf ( _H03 , H03 ) ;
not ( C4 , _H02 ) ;
and ( C3 , C4 , _H03 ) ;
or ( C2 , _H01 , C3 ) ;
not ( N01 , C2 ) ;
specify
specparam DMY_SPC=1;
// path from H01 to N01
( H01 *> N01 ) = ( DMY_SPC,
DMY_SPC );
// path from H02 to N01
( H02 *> N01 ) = ( DMY_SPC,
DMY_SPC );
// path from H03 to N01
( H03 *> N01 ) = ( DMY_SPC,
DMY_SPC );
endspecify
endmodule
`ifdef verifault
`nosuppress_faults
`disable_portfaults
`endif
`endcelldefine