TBSMDFHQYRBX1U.v 2.77 KB
// VERSION:4.00 DATE:00/02/15 OPENCAD Verilog LIBRARY
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
    `suppress_faults
    `enable_portfaults
`endif
module TBSMDFHQYRBX1U ( N01, H01, H02, H03 );
    input H01;
    input H02;
    input H03;
    output N01;
    reg notifier;
    reg docheck1;
    reg docheck2;
    reg docheck3;
    reg docheck4;

    buf ( _H01, H01 );
    buf ( _H02, H02 );
    buf ( _H03, H03 );
    and ( _G001, _H01, _H03 );
    buf ( N01, _G002 );
    DESFQ ( _G002, _G001, _H02, 1'b1, 1'b1, notifier );
    buf #1 ( _G099, _G002 );

`ifdef  INCA
        buf #1 ( _docheck1, docheck1 );
        buf #1 ( _docheck2, docheck2 );
        buf #1 ( _docheck3, docheck3 );
        buf #1 ( _docheck4, docheck4 );
`else
`ifdef VCS
        buf #1 ( _docheck1, docheck1 );
        buf #1 ( _docheck2, docheck2 );
        buf #1 ( _docheck3, docheck3 );
        buf #1 ( _docheck4, docheck4 );
`else
        buf ( _docheck1, docheck1 );
        buf ( _docheck2, docheck2 );
        buf ( _docheck3, docheck3 );
        buf ( _docheck4, docheck4 );
`endif
`endif

    initial      //initialize data flags
        begin
            docheck1 = 0;
            docheck2 = 0;
            docheck3 = 0;
            docheck4 = 0;
        end

    always @( _H01 or _H03 or _G001 )
        begin
            docheck1 = ( _H03 !== 1'b0 );
            docheck2 = ( _H01 !== 1'b0 );
            if ( _H02 === 1'b0 )
                docheck3 = ( _G099 !== _G001 );
            if ( _H02 === 1'b1 )
                docheck4 = ( _G099 !== _G001 );
        end

    always @( posedge _H02 )
        begin
            docheck1 = ( _H03 !== 1'b0 );
            docheck2 = ( _H01 !== 1'b0 );
            docheck3 = ( _G099 !== _G001 );
            docheck4 = 1;
        end

    always @( negedge _H02 )
        begin
            docheck3 = ( _G099 !== _G001 );
        end

    specify
        specparam DMY_SPC=1;

        $setup ( posedge H01, posedge H02 &&& _docheck1, DMY_SPC, notifier );
        $setup ( negedge H01, posedge H02 &&& _docheck1, DMY_SPC, notifier );
        $hold ( posedge H02, posedge H01 &&& _docheck1, DMY_SPC, notifier );
        $hold ( posedge H02, negedge H01 &&& _docheck1, DMY_SPC, notifier );
        $setup ( posedge H03, posedge H02 &&& _docheck2, DMY_SPC, notifier );
        $setup ( negedge H03, posedge H02 &&& _docheck2, DMY_SPC, notifier );
        $hold ( posedge H02, posedge H03 &&& _docheck2, DMY_SPC, notifier );
        $hold ( posedge H02, negedge H03 &&& _docheck2, DMY_SPC, notifier );

        $width ( posedge H02 &&& _docheck3, DMY_SPC, 0, notifier );
        $width ( negedge H02 &&& _docheck4, DMY_SPC, 0, notifier );

        ( H02 *> N01 ) = ( DMY_SPC, DMY_SPC );

    endspecify
endmodule
`ifdef verifault
    `nosuppress_faults
    `disable_portfaults
`endif
`endcelldefine