vu.ss
1.19 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
/* setup aliases */
alias set_default_operating_conditions "set_operating_conditions NOM -library rcp.db; \
set_wire_load 128000 -mode top;"
alias set_default_timing_constraints "create_clock clk -period 15.5 -waveform {0 7.75}; \
set_input_delay 15.0 -clock clk all_inputs(); \
set_input_delay 8.25 clk; \
set_output_delay -max 14.5 -clock clk all_outputs(); \
set_driving_cell -none {clk}; \
set_driving_cell -cell in01d0 all_inputs(); \
set_drive 0 {clk}; \
set_load 1.0 all_outputs();"
/* setup the search path for includes */
search_path = search_path + "../src"
/* read the verilog sources */
read -f verilog ../src/vu.v
read -f edif vusl.edf
read -f edif div.edf
current_design = vu
set_default_operating_conditions
set_default_timing_constraints
set_max_transition 2.0 current_design;
set_disable_timing su_data_to_from
include vu.con
link
current_design = vu
check_design > vu.lint
report -reference > report/vu.ref
report_area > report/vu.area
report_net > report/vu.net
report_constraint -all_violators > report/vu.violators
report_timing -path full -delay max -max_paths 10 > report/vu.full.paths
write -f edif -o vu.edf -hier vu
quit