cc.tmg
932 Bytes
current_design = cc
/* setup operating conditions */
set_operating_conditions NOM
set_wire_load 128000 -mode top
/* clock constraint */
create_clock gclk -period 16.0 -waveform {0 8.0}
set_driving_cell -none {gclk}
set_dont_touch_network {gclk}
/* default constraints */
set_input_delay 2.0 -clock gclk all_inputs() > /dev/null
set_output_delay 14.0 -clock gclk all_outputs() > /dev/null
set_driving_cell -cell dfntnb all_inputs() > /dev/null
set_load 0.08 all_outputs() > /dev/null
/* clock drive */
set_drive 0 {gclk}
set_arrival 0 {gclk}
/* custom constraints */
/* These are other_modes signals (e.g. multicycle)*/
set_input_delay 0.0 -clock gclk { alpha_cvg_select cvg_times_alpha texture_edge_mode }
set_input_delay 4.0 -clock gclk { cvg }
set_input_delay 4.0 -clock gclk { st_span }
set_input_delay 2.5 -clock gclk { noise }
set_input_delay 5.0 -clock gclk { tf_lod_frac }
set_output_delay 14.5 -clock gclk { pixel_a }