suotherctl.ss 4.81 KB
/*****************************************************************************/
/* custom variables                                                          */
/*****************************************************************************/
module = suotherctl
wire_load = 256000
standard_load = 0.01
clock = clk
default_input_delay = 1.5
default_output_delay = 1.0
default_input_load = 20
default_output_load = 20
default_drive_cell = dfntnh
default_drive_pin = q

/*****************************************************************************/
/* set the path and read                                                     */
/*****************************************************************************/
search_path = {. \
	/ecad/synopsys/current/libraries/syn \
	/ecad/reality/lib/synopsys/nec35_v2.1 \
	/ecad/reality/lib/synopsys/rcp_lib \
	../src };

read -f verilog ../src/suotherctl.v
read -f verilog ../../rsp/src/spasdff_1_1.v
read -f verilog ../../rsp/src/spasdff_1_0.v
read -f verilog ../../rsp/src/spasdff_1_0_h.v
read -f verilog ../../rsp/src/spasdff_3_0.v
read -f verilog ../../rsp/src/spasdff_4_0.v
read -f verilog ../../rsp/src/spasdff_5_0.v
read -f verilog ../../rsp/src/spasdff_6_0.v
read -f verilog ../../rsp/src/spasdff_24_0.v
read -f verilog ../../rsp/src/spasdff_32_0.v
read -f verilog ../../rsp/src/spasdffen_1_0.v
read -f verilog ../../rsp/src/spasdffen_9_0.v
read -f verilog ../../rsp/src/spasdffen_10_0.v
read -f verilog ../../rsp/src/spasdffen_10_0_h.v
read -f verilog ../../rsp/src/spasdffen_32_0.v
read -f verilog ../../rsp/src/spasdffen_64_h.v
read -f verilog ../../rsp/src/sp_5_32_decode.v

current_design = module

/*****************************************************************************/
/* default environment                                                       */
/*****************************************************************************/
set_operating_conditions NOM
set_wire_load wire_load -mode top

/*****************************************************************************/
/* default constraint                                                        */
/*****************************************************************************/
/* set_max_area 0 */
set_dont_touch { ne35hd130d/nt01d* }
create_clock clock -period 16.0 -waveform {0.0 8.0}

set_input_delay default_input_delay -clock clock all_inputs()
set_output_delay default_output_delay -clock clock all_outputs()
set_load default_output_load * standard_load all_outputs()
set_driving_cell -cell default_drive_cell -pin default_drive_pin all_inputs()

/*****************************************************************************/
/* clock and reset constraints                                               */
/*****************************************************************************/
set_drive 0 clock
set_arrival 0 clock
set_dont_touch_network clock

set_driving_cell -cell ni01d5 -pin z reset_l

/*****************************************************************************/
/* custom constraints                                                        */
/*****************************************************************************/
set_max_transition 2.0 module
include module + ".con"

/*****************************************************************************/
/* check                                                                     */
/*****************************************************************************/
check_design > module + ".lint"

/*****************************************************************************/
/* compile                                                                   */
/*****************************************************************************/

ungroup -flatten *_ff
ungroup -flatten *surdamux_unit

current_design = surdamux_unit
uniquify
compile 

current_design = module

set_dont_touch *_ff*
set_dont_touch *_mx*
set_dont_touch rd_base_buf*
set_dont_touch rd_offset_buf*
set_dont_touch *surdamux_unit*

compile -no_map

set_dont_touch *_ff* false

compile -map_effort high -ungroup_all -incremental_mapping 

ungroup -all -flatten 

set_clock_skew -uncertainty 1 clock
set_fix_hold all_clocks()
compile -prioritize_min_paths -incremental_mapping -only_design_rule

/*****************************************************************************/
/* results                                                                   */
/*****************************************************************************/
report_area
report -reference
report_constraint -all_violators > "report." + module + ".viol"
report_timing -to all_outputs() -nets -max_paths 10 > "report." + module + ".output"
report_timing -from all_inputs() -max_paths 10 >  "report." + module + ".input"

write -format edif -hierarchy -o module + ".edf" module
write -format verilog -hierarchy -o module + ".vsyn" module
write -format db -hierarchy -o module + ".db" module

quit