TDBIAC33HN09.v
837 Bytes
// VERSION:4.02 DATE:2001/12/20 OPENCAD Verilog LIBRARY
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
`suppress_faults
`enable_portfaults
`endif
module TDBIAC33HN09 ( N01, N02, H01, H03 );
input H01;
input H03;
inout N01;
output N02;
buf ( _H01, H01 );
buf ( _H03, H03 );
buf ( N02, N01 );
bufif1 ( _G001, _H01, _H03 );
bufif1 ( _G002, _G001, _H03 );
not ( NET1, N01 );
not ( pull1, pull0 ) #1 ( _G002, NET1 );
nmos ( N01, _G002, 1'b1 );
specify
specparam DMY_SPC=1:1:1;
( H01 *> N01 ) = ( DMY_SPC, DMY_SPC );
( H03 *> N01 ) = ( DMY_SPC, DMY_SPC, DMY_SPC, DMY_SPC, DMY_SPC, DMY_SPC );
( N01 *> N02 ) = ( DMY_SPC, DMY_SPC );
endspecify
endmodule
`ifdef verifault
`nosuppress_faults
`disable_portfaults
`endif
`endcelldefine