udp_MUX.v 623 Bytes
// VERSION:3.00 DATE:1999/09/24 UDP
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
    `suppress_faults
`endif

primitive udp_MUX (mux, control, dataA, dataB ) ;
    output mux ;
    input control, dataA, dataB ;

    table
    // control dataA dataB  mux
           0     1     ?  : 1  ;   // ? = 0,1,x
           0     0     ?  : 0  ;
           1     ?     1  : 1  ;
           1     ?     0  : 0  ;
           x     0     0  : 0  ;
           x     1     1  : 1  ;
    //  Unspecified cases is a default output state of x.
    endtable
endprimitive

`ifdef verifault
        `nosuppress_faults
`endif
`endcelldefine