RAMB4_S1_S4.v
13.6 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
122
123
124
125
126
127
128
129
130
131
132
133
134
135
136
137
138
139
140
141
142
143
144
145
146
147
148
149
150
151
152
153
154
155
156
157
158
159
160
161
162
163
164
165
166
167
168
169
170
171
172
173
174
175
176
177
178
179
180
181
182
183
184
185
186
187
188
189
190
191
192
193
194
195
196
197
198
199
200
201
202
203
204
205
206
207
208
209
210
211
212
213
214
215
216
217
218
219
220
221
222
223
224
225
226
227
228
229
230
231
232
233
234
235
236
237
238
239
240
241
242
243
244
245
246
247
248
249
250
251
252
253
254
255
256
257
258
259
260
261
262
263
264
265
266
267
268
269
270
271
272
273
274
275
276
277
278
279
280
281
282
283
284
285
286
287
288
289
290
291
292
293
294
295
296
297
298
299
300
301
302
303
304
305
306
307
308
309
310
311
312
313
314
315
316
317
318
319
320
321
322
323
324
325
326
327
328
329
330
331
332
333
334
335
336
337
338
339
340
341
342
343
344
345
346
347
348
349
350
351
352
353
354
355
356
357
358
359
360
361
362
363
364
365
366
367
368
369
370
371
372
373
374
375
376
377
378
379
380
381
382
383
384
385
386
387
388
389
390
391
392
393
394
395
396
397
398
399
400
401
402
403
404
405
406
407
408
409
410
411
412
413
414
415
416
417
418
419
420
421
422
423
424
425
426
427
428
429
430
431
432
433
434
435
436
437
438
439
440
441
442
443
444
445
446
447
448
449
450
451
452
453
454
455
456
457
458
459
460
461
462
// $Header: /root/leakn64/depot/rf/hw/flif/xilinx/RAMB4_S1_S4.v,v 1.1 2003/08/20 23:46:56 berndt Exp $
/*
FUNCTION : 4x1x4 Block RAM with synchronous write capability
*/
`timescale 1 ps / 1 ps
module RAMB4_S1_S4 (DOA, DOB, ADDRA, ADDRB, CLKA, CLKB, DIA, DIB, ENA, ENB, RSTA, RSTB, WEA, WEB);
parameter SETUP_ALL = 100;
parameter INIT_00 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_01 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_02 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_03 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_04 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_05 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_06 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_07 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_08 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_09 = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_0A = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_0B = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_0C = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_0D = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_0E = 256'h0000000000000000000000000000000000000000000000000000000000000000;
parameter INIT_0F = 256'h0000000000000000000000000000000000000000000000000000000000000000;
output [0:0] DOA;
reg [0:0] doa_out;
wire doa_out0;
input [11:0] ADDRA;
input [0:0] DIA;
input ENA, CLKA, WEA, RSTA;
output [3:0] DOB;
reg [3:0] dob_out;
wire dob_out0, dob_out1, dob_out2, dob_out3;
input [9:0] ADDRB;
input [3:0] DIB;
input ENB, CLKB, WEB, RSTB;
reg [4095:0] mem;
reg [8:0] count;
reg [5:0] mi, mj, ai, aj, bi, bj, ci, cj, di, dj, ei, ej, fi, fj;
wire [11:0] addra_int;
reg [11:0] addra_reg;
wire [0:0] dia_int;
wire ena_int, clka_int, wea_int, rsta_int;
reg ena_reg, wea_reg, rsta_reg;
wire [9:0] addrb_int;
reg [9:0] addrb_reg;
wire [3:0] dib_int;
wire enb_int, clkb_int, web_int, rstb_int;
reg enb_reg, web_reg, rstb_reg;
time time_clka, time_clkb;
time time_clka_clkb;
time time_clkb_clka;
reg setup_all_a_b;
reg setup_all_b_a;
reg setup_zero;
reg [1:0] data_collision, data_collision_a_b, data_collision_b_a;
reg memory_collision, memory_collision_a_b, memory_collision_b_a;
reg address_collision, address_collision_a_b, address_collision_b_a;
reg change_clka;
reg change_clkb;
wire [11:0] mem_addra_int;
wire [11:0] mem_addra_reg;
wire [11:0] mem_addrb_int;
wire [11:0] mem_addrb_reg;
tri0 GSR = glbl.GSR;
always @(GSR)
if (GSR) begin
assign doa_out = 0;
end
else begin
deassign doa_out;
end
always @(GSR)
if (GSR) begin
assign dob_out = 0;
end
else begin
deassign dob_out;
end
buf b_doa_out0 (doa_out0, doa_out[0]);
buf b_dob_out0 (dob_out0, dob_out[0]);
buf b_dob_out1 (dob_out1, dob_out[1]);
buf b_dob_out2 (dob_out2, dob_out[2]);
buf b_dob_out3 (dob_out3, dob_out[3]);
buf b_doa0 (DOA[0], doa_out0);
buf b_dob0 (DOB[0], dob_out0);
buf b_dob1 (DOB[1], dob_out1);
buf b_dob2 (DOB[2], dob_out2);
buf b_dob3 (DOB[3], dob_out3);
buf b_addra_0 (addra_int[0], ADDRA[0]);
buf b_addra_1 (addra_int[1], ADDRA[1]);
buf b_addra_2 (addra_int[2], ADDRA[2]);
buf b_addra_3 (addra_int[3], ADDRA[3]);
buf b_addra_4 (addra_int[4], ADDRA[4]);
buf b_addra_5 (addra_int[5], ADDRA[5]);
buf b_addra_6 (addra_int[6], ADDRA[6]);
buf b_addra_7 (addra_int[7], ADDRA[7]);
buf b_addra_8 (addra_int[8], ADDRA[8]);
buf b_addra_9 (addra_int[9], ADDRA[9]);
buf b_addra_10 (addra_int[10], ADDRA[10]);
buf b_addra_11 (addra_int[11], ADDRA[11]);
buf b_dia_0 (dia_int[0], DIA[0]);
buf b_clka (clka_int, CLKA);
buf b_ena (ena_int, ENA);
buf b_rsta (rsta_int, RSTA);
buf b_wea (wea_int, WEA);
buf b_addrb_0 (addrb_int[0], ADDRB[0]);
buf b_addrb_1 (addrb_int[1], ADDRB[1]);
buf b_addrb_2 (addrb_int[2], ADDRB[2]);
buf b_addrb_3 (addrb_int[3], ADDRB[3]);
buf b_addrb_4 (addrb_int[4], ADDRB[4]);
buf b_addrb_5 (addrb_int[5], ADDRB[5]);
buf b_addrb_6 (addrb_int[6], ADDRB[6]);
buf b_addrb_7 (addrb_int[7], ADDRB[7]);
buf b_addrb_8 (addrb_int[8], ADDRB[8]);
buf b_addrb_9 (addrb_int[9], ADDRB[9]);
buf b_dib_0 (dib_int[0], DIB[0]);
buf b_dib_1 (dib_int[1], DIB[1]);
buf b_dib_2 (dib_int[2], DIB[2]);
buf b_dib_3 (dib_int[3], DIB[3]);
buf b_clkb (clkb_int, CLKB);
buf b_enb (enb_int, ENB);
buf b_rstb (rstb_int, RSTB);
buf b_web (web_int, WEB);
initial begin
for (count = 0; count < 256; count = count + 1) begin
mem[count] <= INIT_00[count];
mem[256 * 1 + count] <= INIT_01[count];
mem[256 * 2 + count] <= INIT_02[count];
mem[256 * 3 + count] <= INIT_03[count];
mem[256 * 4 + count] <= INIT_04[count];
mem[256 * 5 + count] <= INIT_05[count];
mem[256 * 6 + count] <= INIT_06[count];
mem[256 * 7 + count] <= INIT_07[count];
mem[256 * 8 + count] <= INIT_08[count];
mem[256 * 9 + count] <= INIT_09[count];
mem[256 * 10 + count] <= INIT_0A[count];
mem[256 * 11 + count] <= INIT_0B[count];
mem[256 * 12 + count] <= INIT_0C[count];
mem[256 * 13 + count] <= INIT_0D[count];
mem[256 * 14 + count] <= INIT_0E[count];
mem[256 * 15 + count] <= INIT_0F[count];
end
address_collision <= 0;
address_collision_a_b <= 0;
address_collision_b_a <= 0;
change_clka <= 0;
change_clkb <= 0;
data_collision <= 0;
data_collision_a_b <= 0;
data_collision_b_a <= 0;
memory_collision <= 0;
memory_collision_a_b <= 0;
memory_collision_b_a <= 0;
setup_all_a_b <= 0;
setup_all_b_a <= 0;
setup_zero <= 0;
end
assign mem_addra_int = addra_int * 1;
assign mem_addra_reg = addra_reg * 1;
assign mem_addrb_int = addrb_int * 4;
assign mem_addrb_reg = addrb_reg * 4;
always @(posedge clka_int) begin
time_clka = $time;
#0 time_clkb_clka = time_clka - time_clkb;
change_clka = ~change_clka;
end
always @(posedge clkb_int) begin
time_clkb = $time;
#0 time_clka_clkb = time_clkb - time_clka;
change_clkb = ~change_clkb;
end
always @(change_clkb) begin
if ((0 < time_clka_clkb) && (time_clka_clkb < SETUP_ALL))
setup_all_a_b <= 1;
end
always @(change_clka) begin
if ((0 < time_clkb_clka) && (time_clkb_clka < SETUP_ALL))
setup_all_b_a <= 1;
end
always @(change_clkb or change_clka) begin
if ((time_clkb_clka == 0) && (time_clka_clkb == 0))
setup_zero <= 1;
end
always @(posedge setup_zero) begin
if ((ena_int == 1) && (wea_int == 1) &&
(enb_int == 1) && (web_int == 1))
memory_collision <= 1;
end
always @(posedge setup_all_a_b) begin
if ((ena_reg == 1) && (enb_int == 1)) begin
case ({wea_reg, web_int})
6'b11 : begin data_collision_a_b <= 2'b11; display_all_a_b; end
6'b01 : begin data_collision_a_b <= 2'b10; display_all_a_b; end
6'b10 : begin data_collision_a_b <= 2'b01; display_all_a_b; end
endcase
end
setup_all_a_b <= 0;
end
task display_all_a_b;
begin
address_collision_a_b <= 1'b0;
for (ci = 0; ci < 4; ci = ci + 1) begin
if ((mem_addra_reg) == (mem_addrb_int + ci)) begin
address_collision_a_b <= 1'b1;
end
end
if (address_collision_a_b == 1'b1)
$display("Timing Violation Error : Setup time %d violated on RAMB4_S1_S4 instance %m on CLKA port at simulation time %d ns with respect to CLKB port at simulation time %d. Expected setup time is %d", time_clka_clkb, time_clka, time_clkb, SETUP_ALL);
end
endtask
always @(posedge setup_all_b_a) begin
if ((ena_int == 1) && (enb_reg == 1)) begin
case ({wea_int, web_reg})
6'b11 : begin data_collision_b_a <= 2'b11; display_all_b_a; end
6'b01 : begin data_collision_b_a <= 2'b10; display_all_b_a; end
6'b10 : begin data_collision_b_a <= 2'b01; display_all_b_a; end
endcase
end
setup_all_b_a <= 0;
end
task display_all_b_a;
begin
address_collision_b_a <= 1'b0;
for (ci = 0; ci < 4; ci = ci + 1) begin
if ((mem_addra_int) == (mem_addrb_reg + ci)) begin
address_collision_b_a <= 1'b1;
end
end
if (address_collision_b_a == 1'b1)
$display("Timing Violation Error : Setup time %d violated on RAMB4_S1_S4 instance %m on CLKB port at simulation time %d ns with respect to CLKA port at simulation time %d. Expected setup time is %d", time_clkb_clka, time_clkb, time_clka, SETUP_ALL);
end
endtask
always @(posedge setup_zero) begin
if ((ena_int == 1) && (enb_int == 1)) begin
case ({wea_int, web_int})
6'b11 : begin data_collision <= 2'b11; display_zero; end
6'b01 : begin data_collision <= 2'b10; display_zero; end
6'b10 : begin data_collision <= 2'b01; display_zero; end
endcase
end
setup_zero <= 0;
end
task display_zero;
begin
address_collision <= 1'b0;
for (ci = 0; ci < 4; ci = ci + 1) begin
if ((mem_addra_int) == (mem_addrb_int + ci)) begin
address_collision <= 1'b1;
end
end
if (address_collision == 1'b1)
$display("Timing Violation Error : Setup time %d violated on RAMB4_S1_S4 instance %m on CLKA port at simulation time %d ns with respect to CLKB port at simulation time %d. Expected setup time is %d", time_clka_clkb, time_clka, time_clkb, SETUP_ALL);
end
endtask
always @(posedge clka_int) begin
addra_reg <= addra_int;
ena_reg <= ena_int;
rsta_reg <= rsta_int;
wea_reg <= wea_int;
end
always @(posedge clkb_int) begin
addrb_reg <= addrb_int;
enb_reg <= enb_int;
rstb_reg <= rstb_int;
web_reg <= web_int;
end
// Data
always @(posedge memory_collision) begin
for (mi = 0; mi < 4; mi = mi + 1) begin
if ((mem_addra_int) == (mem_addrb_int + mi)) begin
for (mj = 0; mj < 1; mj = mj + 1) begin
mem[mem_addrb_int + mi + mj] <= 1'bX;
end
end
end
memory_collision <= 0;
end
always @(posedge memory_collision_a_b) begin
for (mi = 0; mi < 4; mi = mi + 1) begin
if ((mem_addra_reg) == (mem_addrb_int + mi)) begin
for (mj = 0; mj < 1; mj = mj + 1) begin
mem[mem_addrb_int + mi + mj] <= 1'bX;
end
end
end
memory_collision_a_b <= 0;
end
always @(posedge memory_collision_b_a) begin
for (mi = 0; mi < 4; mi = mi + 1) begin
if ((mem_addra_int) == (mem_addrb_reg + mi)) begin
for (mj = 0; mj < 1; mj = mj + 1) begin
mem[mem_addrb_reg + mi + mj] <= 1'bX;
end
end
end
memory_collision_b_a <= 0;
end
always @(posedge data_collision[1]) begin
if (rsta_int == 0) begin
for (ai = 0; ai < 4; ai = ai + 1) begin
if ((mem_addra_int) == (mem_addrb_int + ai)) begin
doa_out <= 1'bX;
end
end
end
data_collision[1] <= 0;
end
always @(posedge data_collision[0]) begin
if (rstb_int == 0) begin
for (bi = 0; bi < 4; bi = bi + 1) begin
if ((mem_addra_int) == (mem_addrb_int + bi)) begin
for (bj = 0; bj < 1; bj = bj + 1) begin
dob_out[bi + bj] <= 1'bX;
end
end
end
end
data_collision[0] <= 0;
end
always @(posedge data_collision_a_b[1]) begin
if (rsta_reg == 0) begin
for (ai = 0; ai < 4; ai = ai + 1) begin
if ((mem_addra_reg) == (mem_addrb_int + ai)) begin
doa_out <= 1'bX;
end
end
end
data_collision_a_b[1] <= 0;
end
always @(posedge data_collision_a_b[0]) begin
if (rstb_int == 0) begin
for (bi = 0; bi < 4; bi = bi + 1) begin
if ((mem_addra_reg) == (mem_addrb_int + bi)) begin
for (bj = 0; bj < 1; bj = bj + 1) begin
dob_out[bi + bj] <= 1'bX;
end
end
end
end
data_collision_a_b[0] <= 0;
end
always @(posedge data_collision_b_a[1]) begin
if (rsta_int == 0) begin
for (ai = 0; ai < 4; ai = ai + 1) begin
if ((mem_addra_int) == (mem_addrb_reg + ai)) begin
doa_out <= 1'bX;
end
end
end
data_collision_b_a[1] <= 0;
end
always @(posedge data_collision_b_a[0]) begin
if (rstb_reg == 0) begin
for (bi = 0; bi < 4; bi = bi + 1) begin
if ((mem_addra_int) == (mem_addrb_reg + bi)) begin
for (bj = 0; bj < 1; bj = bj + 1) begin
dob_out[bi + bj] <= 1'bX;
end
end
end
end
data_collision_b_a[0] <= 0;
end
always @(posedge clka_int) begin
if (ena_int == 1'b1) begin
if (rsta_int == 1'b1) begin
doa_out <= 1'b0;
end
else if (wea_int == 0) begin
doa_out[0] <= mem[mem_addra_int + 0];
end
else begin
doa_out <= dia_int;
end
end
end
always @(posedge clka_int) begin
if (ena_int == 1'b1 && wea_int == 1'b1) begin
mem[mem_addra_int + 0] <= dia_int[0];
end
end
always @(posedge clkb_int) begin
if (enb_int == 1'b1) begin
if (rstb_int == 1'b1) begin
dob_out <= 4'b0;
end
else if (web_int == 0) begin
dob_out[0] <= mem[mem_addrb_int + 0];
dob_out[1] <= mem[mem_addrb_int + 1];
dob_out[2] <= mem[mem_addrb_int + 2];
dob_out[3] <= mem[mem_addrb_int + 3];
end
else begin
dob_out <= dib_int;
end
end
end
always @(posedge clkb_int) begin
if (enb_int == 1'b1 && web_int == 1'b1) begin
mem[mem_addrb_int + 0] <= dib_int[0];
mem[mem_addrb_int + 1] <= dib_int[1];
mem[mem_addrb_int + 2] <= dib_int[2];
mem[mem_addrb_int + 3] <= dib_int[3];
end
end
specify
(CLKA *> DOA) = (1, 1);
(CLKB *> DOB) = (1, 1);
endspecify
endmodule