TDND4BX1.v 1.01 KB
// VERSION:4.00 DATE:00/02/15 OPENCAD Verilog LIBRARY
`timescale 1ps / 1ps
`celldefine
`ifdef verifault
    `suppress_faults
    `enable_portfaults
`endif
// generated by sldtoveri Version 1.3.4
// Mon Jun 19 20:10:45 1995

module TDND4BX1 ( N01 , H01 , H02 , H03 , H04 ) ;
 input H01 ;
 input H02 ;
 input H03 ;
 input H04 ;
 output N01 ;

  buf  	 ( _H01 , H01 ) ;
  buf  	 ( _H02 , H02 ) ;
  buf  	 ( _H03 , H03 ) ;
  buf  	 ( _H04 , H04 ) ;
  not  	 ( C3 , _H04 ) ;
  and  	 ( C2 , _H01 , _H02 , _H03 , C3 ) ;
  not  	 ( N01 , C2 ) ;

 specify
        specparam DMY_SPC=1;

// path from H01 to N01
  ( H01 *>  N01 ) = ( DMY_SPC,
                      DMY_SPC );
// path from H02 to N01
  ( H02 *>  N01 ) = ( DMY_SPC,
                      DMY_SPC );
// path from H03 to N01
  ( H03 *>  N01 ) = ( DMY_SPC,
                      DMY_SPC );
// path from H04 to N01
  ( H04 *>  N01 ) = ( DMY_SPC,
                      DMY_SPC );
 endspecify
endmodule
`ifdef verifault
    `nosuppress_faults
    `disable_portfaults
`endif
`endcelldefine