sysclk.tcl
4.47 KB
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50
51
52
53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68
69
70
71
72
73
74
75
76
77
78
79
80
81
82
83
84
85
86
87
88
89
90
91
92
93
94
95
96
97
98
99
100
101
102
103
104
105
106
107
108
109
110
111
112
113
114
115
116
117
118
119
120
121
#################################
# SYSCLK (100MHz) #
#################################
# Get delay from PAD_SYSCLK to *reg*/H02 ---------------------------
set sysclk_latency_max 100000
foreach_in_collection cur_path \
[ get_timing_paths -nworst 10000 -max_paths 10000 \
-from [get_ports PAD_SYSCLK] \
-to [get_pins *reg*/H02] \
-delay max_rise \
] {
set cur_delay [ get_attribute $cur_path arrival ]
set cur_end [ get_attribute $cur_path endpoint ]
set cur_name [ get_attribute $cur_end full_name ]
echo [ format "%s : %s" $cur_name $cur_delay ]
if {$cur_delay < $sysclk_latency_max} { set sysclk_latency_max $cur_delay }
}
echo [ format "sysclk_latency_max : %s" $sysclk_latency_max ]
# Create SYSCLK ----------------------------------------------------
create_clock \
-name SYSCLK \
-period 9.8 \
-waveform [ list 0.0 4.9 ] \
[ get_ports PAD_SYSCLK ]
set_propagated_clock \
[ get_clocks SYSCLK ]
set_clock_latency -source \
[ expr 0 - $sysclk_latency_max ] \
[ get_clocks SYSCLK ]
#/*
# * PAD_RST_L into all clock domains;
# * used as both synchronous and asynchronous reset;
# */
set_input_delay 1.0 -clock SYSCLK -max [list PAD_RST_L]
###set_input_delay 0.5 -clock SYSCLK -min [list PAD_RST_L]
#/*
# * delays of misc input-only ports;
# * asynchronous input, sampled with SYSCLK;
# */
set_input_delay 1.0 -clock SYSCLK -max [list PAD_BUTTON]
###set_input_delay 0.5 -clock SYSCLK -min [list PAD_BUTTON]
#/*
# * joy-channel ports;
# * bi-directional, asynchronous, sampled/driven by SYSCLK;
# * set output delay to 50% of cycle time to force flops close to pads;
# */
set_input_delay 1.0 -clock SYSCLK -max [get_ports PAD_JCHAN*]
set_output_delay 5 -clock SYSCLK -max [get_ports PAD_JCHAN*]
###set_input_delay 0.5 -clock SYSCLK -min [get_ports PAD_JCHAN*]
###set_output_delay 0.5 -clock SYSCLK -min [get_ports PAD_JCHAN*]
#/*
# * local controller x/y sticks ports;
# * input only, asynchronous, sampled by SYSCLK;
# */
set_input_delay 1.0 -clock SYSCLK -max [list PAD_LX0 PAD_LX1 PAD_LY0 PAD_LY1]
###set_input_delay 0.5 -clock SYSCLK -min [list PAD_LX0 PAD_LX1 PAD_LY0 PAD_LY1]
#/*
# * io bus;
# * inputs sampled by SYSCLK, outputs driven from SYSCLK;
# * set io delays to 50% of cycle time to force flops close to pads;
# */
set_input_delay 5 -clock SYSCLK -max [get_ports PAD_IO_AD*]
set_input_delay 5 -clock SYSCLK -max [list PAD_IO_DMARQ PAD_IO_INTR]
###set_input_delay 0.5 -clock SYSCLK -min [get_ports PAD_IO_AD*]
###set_input_delay 0.5 -clock SYSCLK -min [list PAD_IO_DMARQ PAD_IO_INTR]
set_output_delay 5 -clock SYSCLK -max [list PAD_IO_RST PAD_IO_ALE]
set_output_delay 5 -clock SYSCLK -max [list PAD_IO_IOR PAD_IO_IOW]
set_output_delay 5 -clock SYSCLK -max [get_ports PAD_IO_AD*]
set_output_delay 5 -clock SYSCLK -max [get_ports PAD_IO_CS*]
###set_output_delay 0.5 -clock SYSCLK -min [list PAD_IO_RST PAD_IO_ALE]
###set_output_delay 0.5 -clock SYSCLK -min [list PAD_IO_IOR PAD_IO_IOW]
###set_output_delay 0.5 -clock SYSCLK -min [get_ports PAD_IO_AD*]
###set_output_delay 0.5 -clock SYSCLK -min [get_ports PAD_IO_CS*]
#/*
# * flash bus, data move on PAD_IO_AD;
# * set io delays to 50% of cycle time to force flops close to pads;
# */
set_input_delay 1.0 -clock SYSCLK -max [list PAD_FL_RYBY PAD_FL_MD]
set_output_delay 5 -clock SYSCLK -max [get_ports PAD_FL_CE*]
set_output_delay 5 -clock SYSCLK -max [list PAD_FL_ALE PAD_FL_CLE]
set_output_delay 5 -clock SYSCLK -max [list PAD_FL_RE PAD_FL_WE PAD_FL_WP]
###set_input_delay 0.5 -clock SYSCLK -min [list PAD_FL_RYBY PAD_FL_MD]
###set_output_delay 0.5 -clock SYSCLK -min [get_ports PAD_FL_CE*]
###set_output_delay 0.5 -clock SYSCLK -min [list PAD_FL_ALE PAD_FL_CLE]
###set_output_delay 0.5 -clock SYSCLK -min [list PAD_FL_RE PAD_FL_WE PAD_FL_WP]
#/*
# * gpio bus;
# * bidirectional, sampled and driven by SYSCLK;
# */
set_input_delay 1.0 -clock SYSCLK -max [get_ports PAD_GPIO*]
set_output_delay 5 -clock SYSCLK -max [get_ports PAD_GPIO*]
###set_input_delay 0.5 -clock SYSCLK -min [get_ports PAD_GPIO*]
###set_output_delay 0.5 -clock SYSCLK -min [get_ports PAD_GPIO*]
#/*
# * usb pull drivers;
# */
set_output_delay 3 -clock SYSCLK -max [list PAD_USB_DP_HIGH0 PAD_USB_D_LOW_N0 PAD_USB_VBUS_ON0]
set_output_delay 3 -clock SYSCLK -max [list PAD_USB_DP_HIGH1 PAD_USB_D_LOW_N1 PAD_USB_VBUS_ON1]
set_input_delay 1.0 -clock SYSCLK -max [list PAD_USB_VBUS_VLD0 PAD_USB_ID0]
set_input_delay 1.0 -clock SYSCLK -max [list PAD_USB_VBUS_VLD1 PAD_USB_ID1]